日期:2014-05-16  浏览次数:20814 次

子makefile如何向总控makefile传递变量?
总控makefile中用export  var +=\
子makefile 中用 export  var += xxxx 

然后在总控makefile中  include  sub.mk

这样子在总控makefile 最后执行函数时候,var 是空还是 xxxx?


如果不能,有其它方式实现该功能么?
makefile 变量

------解决方案--------------------
sub.mk

var += xxx


Makefile

var +=

include sub.mk

default:
        @echo $(var)


执行结果

user@ubuntu:/dev/shm$ make
xxx


include与c语言的include是类似的,相当把内容引用到此处了,等效于还是在同一个makefile中